注册 | 登录读书好,好读书,读好书!
读书网-DuShu.com
当前位置: 首页出版图书科学技术计算机/网络软件与程序设计其他编程语言/工具虚拟仪器图形化编程语言LabVIEW教程

虚拟仪器图形化编程语言LabVIEW教程

虚拟仪器图形化编程语言LabVIEW教程

定 价:¥26.00

作 者: 刘君华[等]编著
出版社: 西安电子科技大学出版社
丛编项:
标 签: Labview/Labwindows

ISBN: 9787560610313 出版时间: 2001-08-01 包装: 平装
开本: 26cm 页数: 304 字数:  

内容简介

  第1章LabVIEW概述1.1虚拟仪器的基本概念1.1.1什么是虚拟仪器1.1.2虚拟仪器的特点1.1.3虚拟仪器的构成及其分类1.2LabVIEW简介1.2.1什么是LabVIEW1.2.2LabVIEW软件的特点与优点1.2.3如何获取LabVIEW5.1软件1.2.4如何安装LabVIEW5.1软件1.2.5LabVIEW软件包内容简介1.3练习实验1.3.1[练习1-1]:在NewVI环境对窗口命名与存放已命名的VI1.3.2[练习1-2]:在OpenVI环境下,寻找用户命名的VI1.3.3[练习1-3]:在OpenVI环境下,寻找FileDialog对话框中已列入的VI1.3.4[练习1-4]:寻找并运行双踪示波器(TwoChannelOscilloscope)本章小结第2章LabVIEW模板简介2.1概述2.2工具模板(ToolsPalette)2.3控制模板(ControlsPalette)2.3.1控制(Controls)子模板2.3.2数字(Numeric)子模板2.3.3布尔量(Boolean)子模板2.3.4数组和类(Array&Cluster)子模板2.3.5图形(Graph)子模板2.4功能模板(FunctionsPalette)2.4.1功能(Functions)子模板2.4.2结构(Structures)子模板2.4.3数值运算(Numeric)子模板2.4.4布尔量运算(Boolean)子模??2.4.5比较运算(Comparison)子模板本章小结第3章信号分析处理与SignalProcessing.Mathematics子模板3.1SignalProcessing子模板简介3.2SignalGeneration子模板简介3.2.1SignalGeneration子模板简介3.2.2正弦波发生函数(SineWave.vi)的离散时间序列3.3TimeDomain子模板与时域分析3.3.1TimeDomain子模板3.3.2信号的自相关分析3.3.3信号的互相关分析3.4FrequencyDomain子模板与频域分析3.4.1FrequencyDomain子模板简介3.4.2信号的频域分析3.4.3RealFFT.vi图标的调用3.5Window.vi子模板与窗函数3.5.1Window.vi子模板简介3.5.2窗平滑技术3.5.3HammingWindow.vi图标的调用3.6Filter子模板与滤波3.6.1Filter子模板简介3.6.2巴特沃斯与切比雪夫滤波器3.6.3EutterworthFilters.vi.ChebyshevFiltets.Vi图标的调用3.7Mathematics子模板与数学运算3.7.1Mathematics子模板简介3.7.2信号的幅值特征参数3.7.3ProbabilityandStatistics子模板3.7.4曲线拟会及其在测量系统中的应用本章小结第4章DataAcquisition子模板与I/O接口设备的软件驱动4.1数据采集4.1.1多参量测量系统的组成和数据采集卡DAQ4.1.2数据采集卡的基本性能指标4.1.3数据采集卡的安装4.1.4实现数据采集卡软件驱动前的参数设置4.1.5I/O接口设备Lab-PC-1200型数据采集卡简介4.1.6Lab-PC-1200型数据采集卡的安装检验与参数设置4.2PXI总线简述4.2.1PXI规范及其体系结构4.2.2PXI总线与PCI总线的性能比较4.2.3I/O接口设备PXI-6040E型数据采集卡简介4.2.4安装检验与参数设置4.3DataAcquisition子模板简介4.3.1AnalogInput子模板简介4.3.2AnalogOutput子模板简介4.4应用举例4.4.1I/O接口设备Lab-PC-1200型数据采集卡的软件驱动4.4.2I/O接口设备PXI-6040E型数据采集卡的软件驱动本章小结第5章InstrumentI/O子模板与I/O接口设备的软件驱动5.1概述5.2GPIB总线简述5.2.1GPIB总线接口系统的特点5.2.2GPIB总线结构5.2.3GPIB总线虚拟仪器测试系统I/O接口设备的组成5.2.4GPIB子模板简介5.2.5GPIB总线系统软件设计5.3VXI总线简述5.3.1VXI总线接口系统的特点5.3.2VXI总线系统的结构和控制器结构5.3.3VXI总线虚拟仪器测试系统I/O接口设备的组成5.3.4VXI子模板简介5.3.5VXI总线系?橙砑杓?5.4串口(RS-232/485)简述5.4.1Serial串口子模板简介5.4.2Serial总线虚拟仪器测试系统I/O接口设备的组成5.4.3Serial总线系统软件设计5.5VISA简述5.5.1VISA的由来5.5.2虚拟仪器软件体系结构的组成5.5.3VISA的特点5.5.4VISA的基本内部结构5.5.5VISA子模板简介5.6应用举例本章小结第6章Advanced子模板及LabVIEW与C语言的接口方法6.1概述6.2Advanced子模板6.3CIN(代码接口节点)图标及其与C语言接口技术6.4CIN图标的调用及参数设置6.4.1CIN图标的调用路径6.4.2CIN图标及其端口参数设置6.5CIN设计步骤6.5.1生成C源代码编辑格式文件(*.C文件)代码框架6.5.2添加C源代码6.5.3*.C文件源代码的编译6.5.4装载编译好的可执行文件6.5.5运行程序6.6应用举例:用CIN图标实现对HY-1232数据采集卡的驱动6.6.1HY-1232数据采集卡简介6.6.2具体设计方法6.7端口操作图标InPort.vi.OutPort.vi及其应用6.7.1InPort.vi.OutPort.vi图标的调用6.7.2InPort.vi.OutPort.vi图标端口参数设置6.7.3应用举例:用InPort.vi和OutPort.vi图标驱动数据采集卡本章小结第7章Communication子模块及其在网络通信中的应用7.1网络相关概念7.1.1网络协议7.1.2数据交换接口7.1.3组建智能测控网络的两种模式7.1.4端口的概念7.1.5队列和连接7.2Communication子模板7.2.1TCP子模板及其应用7.2.2用TCP子模板实现智能测控网络数据传输的方法7.2.3应用举例7.3DataSocket子模板及其应用7.3.1DataSocket子模板7.3.2DataSocket服务器与管理器简介7.3.3用DataSocket子模板实现智能测控网络数据传输的方法7.3.4应用举例7.4SystemExec.vi图标及可执行文件*.exe文件的调用7.4.1SystemExec.vi图标的调用及参数设置7.4.2应用举例本章小结第8章创建虚拟仪器VI示例8.1概述8.2简单虚拟仪器的创建示例8.2.1数据运算.比较运算图标功能检验仪8.2.2被测量值的转换与显示8.2.3虚拟非线性校正器8.2.4子VI的创建与调用8.3信号分析用虚拟仪器的创建示例8.4数据处理类虚拟仪器应用示例8.5数据采集及信号记录类虚拟仪器本章小结第9章教学用虚拟仪器系统实验9.1熟悉LabVIEW软件平台环境类9.2基本训练类实验9.3信号分析处理类虚拟仪器9.4虚拟仪器综合实验9.4.1压力传感器系统的静态标定与技术指标的确定9.4.2测试系统动态特性的测定与改善9.4.3RLC电参数测量与虚拟RLC测试仪9.4.4相位差测量与虚拟相位差计9.4.5信号的频谱分析及虚拟频谱仪9.4.6虚拟传递函数测试仪9.4.7虚拟信号发生器本章小结参考文献本书详尽、全面、系统地介绍了当前最流行的虚拟仪器编程语言::LabVIEW。LabVIEW(LaboratoryVirtualInstrumentsEngineeringWorkbench)是美国NI公司(NationalInstrumentCompany)推出的一种基于G语言(GraphicsLanguage,图形化编程语言)的虚拟仪器软件开发工具。它为不熟悉文本语言编程的设计者在测控领域建立计算机仪器系统::虚拟仪器,提供了一个便捷、轻松的图形化设计环境。全书共分九章。第1章LabVIEW概述;第2章介绍了LabVIEW的三个模板,其上提供的工具、控件与图标是设计虚拟仪器的基础;第3章介绍了实现仪器测试功能所需要的常用图标的工作原理与使用方法;第4、5章介绍了获取信号的I/O接口设备(DAQ数据采集卡、PXI总线模块化仪器、GPIB总线仪器、VXI总线仪器模块、标准串口仪器等)的软件驱动方法以及VISA技术;第6章介绍了LabVIEW与C语言的接口方法;第7章介绍了LabVIEW提供的网络通信模板;第8、9章是不同层次的虚拟仪器设计示例与练习实验。本书内容丰富,论述简洁,密切联系测量实际;提供了大量设计示例与实验,便于自学;不仅适用于初学者,对已经入门希望进一步提高者也大有裨益。本书可作为大专院校的教科书,也可作为工程技术人员、科技工作者学习设计虚拟仪器系统的自学用书。

作者简介

暂缺《虚拟仪器图形化编程语言LabVIEW教程》作者简介

图书目录

第1章  LabVIEW概述                  
 1. 1  虚拟仪器的基本概念                  
 1. 1. 1  什么是虚拟仪器                  
 1. 1. 2 虚拟仪器的特点                  
 1. 1. 3 虚拟仪器的构成及其分类                  
 1. 2 LabVIEW简介                  
 1. 2. 1 什么是LabVIEW                  
 1. 2. 2 LabVIEW软件的特点与优点                  
 1. 2. 3 如何获取LabVIEW5. 1软件                  
 1. 2. 4 如何安装LabVIEW5. 1软件                  
 1. 2. 5  LabVIEW软件包内容简介                  
 1. 3 练习实验                  
 1. 3. 1 [练习1-1]:在New VI环境对窗口命名与存放已命名的VI                  
 1. 3. 2 [练习1-2]:在Open VI环境下, 寻找用户命名的VI                  
 1. 3. 3 [练习1-3]:在 Open VI环境下, 寻找 File Dialog对话框中已列入的VI                  
 1. 3. 4 [练习1-4]:寻找并运行双踪示波器(Two Channel Oscilloscope)                  
 本章小结                  
 第2章 LabVIEW模板简介                  
 2. 1 概述                  
 2. 2 工具模板(Tools Palette)                  
 2. 3 控制模板(Controls Palette)                  
 2. 3. 1 控制(Controls)子模板                  
 2. 3. 2 数字(Numeric)子模板                  
 2. 3. 3 布尔量(Boolean)子模板                  
 2. 3. 4 数组和类(Array& Cluster)子模板                  
 2. 3. 5 图形(Graph)子模板                  
 2. 4 功能模板(Functions Palette)                  
 2. 4. 1 功能(Functions)子模板                  
 2. 4. 2 结构(Structures)子模板                  
 2. 4. 3 数值运算(Numeric)子模板                  
 2. 4. 4 布尔量运算(Boolean)子模板                  
 2. 4. 5 比较运算(Comparison)子模板                  
 本章小结                  
 第3章 信号分析处理与 Signal Processing. Mathematics子模板                  
 3. 1  Signal Processing子模板简介                  
 3. 2  Signal Generation子模板简介                  
 3. 2. 1  Signal Generation子模板简介                  
 3. 2. 2 正弦波发生函数(Sine Wave. vi)的离散时间序列                  
 3. 3  Time Domain子模板与时域分析                  
 3. 3. 1 Time Domain子模板                  
 3. 3. 2 信号的自相关分析                  
 3. 3. 3 信号的互相关分析                  
 3. 4 Frequency Domain子模板与频域分析                  
 3. 4. 1 Frequency Domain子模板简介                  
 3. 4. 2 信号的频域分析                  
 3. 4. 3  Real FFT.vi图标的调用                  
 3. 5  Window.vi子模板与窗函数                  
 3. 5. 1  Window. vi子模板简介                  
 3. 5. 2 窗平滑技术                  
 3. 5. 3  Hamming Window. vi图标的调用                  
 3. 6  Filter子模板与滤波                  
 3. 6. 1  Filter子模板简介                  
 3. 6. 2 巴特沃斯与切比雪夫滤波器                  
 3. 6. 3  Eutterworth Filters. vi. Chebyshev Filtets. Vi图标的调用                  
 3. 7  Mathematics子模板与数学运算                  
 3. 7. 1  Mathematics子模板简介                  
 3. 7. 2 信号的幅值特征参数                  
 3. 7. 3  Probability and Statistics子模板                  
 3. 7. 4 曲线拟会及其在测量系统中的应用                  
 本章小结                  
 第4章  Data Acquisition子模板与I/O接口设备的软件驱动                  
 4. 1 数据采集                  
 4. 1. 1 多参量测量系统的组成和数据采集卡DAQ                  
 4. 1. 2 数据采集卡的基本性能指标                  
 4. 1. 3 数据采集卡的安装                  
 4. 1. 4 实现数据采集卡软件驱动前的参数设置                  
 4. 1. 5 I/O接口设备Lab-PC-1200型数据采集卡简介                  
 4. 1. 6 Lab-PC-1200型数据采集卡的安装检验与参数设置                  
 4. 2 PXI总线简述                  
 4. 2. 1 PXI规范及其体系结构                  
 4. 2. 2 PXI总线与PCI总线的性能比较                  
 4. 2. 3 I/O接口设备PXI-6040E型数据采集卡简介                  
 4. 2. 4 安装检验与参数设置                  
 4. 3 Data Acquisition子模板简介                  
 4. 3. 1  Analog Input子模板简介                  
 4. 3. 2  Analog Output子模板简介                  
 4. 4 应用举例                  
 4. 4. 1 I/O接口设备Lab-PC-1200型数据采集卡的软件驱动                  
 4. 4. 2  I/O接口设备PXI-6040E型数据采集卡的软件驱动                  
 本章小结                  
 第5章  Instrument I/O子模板与I/O接口设备的软件驱动                  
 5. 1 概述                  
 5. 2 GPIB总线简述                  
 5. 2. 1 GPIB总线接口系统的特点                  
 5. 2. 2 GPIB总线结构                  
 5. 2. 3 GPIB总线虚拟仪器测试系统I/O接口设备的组成                  
 5. 2. 4  GPIB子模板简介                  
 5. 2. 5  GPIB总线系统软件设计                  
 5. 3  VXI总线简述                  
 5. 3. 1 VXI总线接口系统的特点                  
 5. 3. 2 VXI总线系统的结构和控制器结构                  
 5. 3. 3 VXI总线虚拟仪器测试系统I/O接口设备的组成                  
 5. 3. 4 VXI子模板简介                  
 5. 3. 5 VXI总线系统软件设计                  
 5. 4 串口(RS-232/485)简述                  
 5. 4. 1 Serial串口子模板简介                  
 5. 4. 2 Serial总线虚拟仪器测试系统I/O接口设备的组成                  
 5. 4. 3 Serial总线系统软件设计                  
 5. 5 VISA简述                  
 5. 5. 1 VISA的由来                  
 5. 5. 2 虚拟仪器软件体系结构的组成                  
 5. 5. 3  VISA的特点                  
 5. 5. 4 VISA的基本内部结构                  
 5. 5. 5 VISA子模板简介                  
 5. 6 应用举例                  
 本章小结                  
 第6章 Advanced子模板及LabVIEW与C语言的接口方法                  
 6. 1 概述                  
 6. 2  Advanced子模板                  
 6. 3 CIN(代码接口节点)图标及其与C语言接口技术                  
 6. 4 CIN图标的调用及参数设置                  
 6. 4. 1 CIN图标的调用路径                  
 6. 4. 2 CIN图标及其端口参数设置                  
 6. 5 CIN设计步骤                  
 6. 5. 1 生成C源代码编辑格式文件(*. C文件)代码框架                  
 6. 5. 2 添加C源代码                  
 6. 5. 3 *. C文件源代码的编译                  
 6. 5. 4 装载编译好的可执行文件                  
 6. 5. 5 运行程序                  
 6. 6 应用举例:用CIN图标实现对HY-1232数据采集卡的驱动                  
 6. 6. 1 HY-1232数据采集卡简介                  
 6. 6. 2 具体设计方法                  
 6. 7 端口操作图标In Port. vi. Out Port.vi及其应用                  
 6. 7. 1  In Port. vi. Out Port. vi图标的调用                  
 6. 7. 2  In Port. vi. Out Port. vi图标端口参数设置                  
 6. 7. 3  应用举例:用In Port. vi和 Out Port. vi图标驱动数据采集卡                  
 本章小结                  
 第7章  Communication子模块及其在网络通信中的应用                  
 7. 1 网络相关概念                  
 7. 1. 1 网络协议                  
 7. 1. 2 数据交换接口                  
 7. 1. 3 组建智能测控网络的两种模式                  
 7. 1. 4 端口的概念                  
 7. 1. 5 队列和连接                  
 7. 2 Communication子模板                  
 7. 2. 1 TCP子模板及其应用                  
 7. 2. 2 用TCP子模板实现智能测控网络数据传输的方法                  
 7. 2. 3 应用举例                  
 7. 3 DataSocket子模板及其应用                  
 7. 3. 1 DataSocket子模板                  
 7. 3. 2  DataSocket服务器与管理器简介                  
 7. 3. 3  用DataSocket子模板实现智能测控网络数据传输的方法                  
 7. 3. 4 应用举例                  
 7. 4  System Exec. vi图标及可执行文件*. exe文件的调用                  
 7. 4. 1  System Exec. vi图标的调用及参数设置                  
 7. 4. 2 应用举例                  
 本章小结                  
 第8章 创建虚拟仪器VI示例                  
 8. 1 概述                  
 8. 2 简单虚拟仪器的创建示例                  
 8. 2. 1 数据运算. 比较运算图标功能检验仪                  
 8. 2. 2 被测量值的转换与显示                  
 8. 2. 3 虚拟非线性校正器                  
 8. 2. 4 子VI的创建与调用                  
 8. 3 信号分析用虚拟仪器的创建示例                  
 8. 4 数据处理类虚拟仪器应用示例                  
 8. 5 数据采集及信号记录类虚拟仪器                  
 本章小结                  
 第9章 教学用虚拟仪器系统实验                  
 9. 1 熟悉LabVIEW软件平台环境类                  
 9. 2 基本训练类实验                  
 9. 3 信号分析处理类虚拟仪器                  
 9. 4 虚拟仪器综合实验                  
 9. 4. 1 压力传感器系统的静态标定与技术指标的确定                  
 9. 4. 2 测试系统动态特性的测定与改善                  
 9. 4. 3 RLC电参数测量与虚拟RLC测试仪                  
 9. 4. 4 相位差测量与虚拟相位差计                  
 9. 4. 5 信号的频谱分析及虚拟频谱仪                  
 9. 4. 6 虚拟传递函数测试仪                  
 9. 4. 7 虚拟信号发生器                  
 本章小结                  
 参考文献                  

本目录推荐