注册 | 登录读书好,好读书,读好书!
读书网-DuShu.com
当前位置: 首页出版图书科学技术计算机/网络计算机辅助设计与工程计算其他相关软件VHDL电路设计技术

VHDL电路设计技术

VHDL电路设计技术

定 价:¥36.00

作 者: 王道宪编
出版社: 国防工业出版社
丛编项: 嵌入式硬件系统设计与开发系列
标 签: VHDL

购买这本书可以去


ISBN: 9787118033526 出版时间: 2004-01-01 包装: 平装
开本: 页数: 412 字数:  

内容简介

  本书首先概述了电子线路发展的历史与未来,主要是数字逻辑电路设计的原理、方法和应用。并着重论述中大规模集成电路及EPROM存储器、GAL、iSPLSI等可编程逻辑器件的原理、编程和应用实例。书中有许多在实际应用中取得成功的例子可启发读者把学到的基础知识用于解决实际问题。紧接着指出了硬件描述语言(HDL)在设计数字电路中所起的作用,并系统概要地讲解了VHDL的语法要点。在此基础上,本书以VHDL为工具,介绍了几种描述电路的方法与技巧,列举了几个典型电路的描述实例,分别讲解了在电路设计和程序实现方面的技巧。书中还对VHDL每个例子的详细程序作了详细论述,最后一章介绍了许多应用性极强的例子作为全书的结尾。本书是VHDL用于数字电路设计的中高级读本,可作为大专院校计算机、微电子学和半导体专业高年级本科生和研究生的教材,也可作为数字集成电路芯片设计人员的参考书。

作者简介

暂缺《VHDL电路设计技术》作者简介

图书目录

第1章  绪论
 1. 1  数字电路的分类. 特点及设计方法,
 1. 1. 1  数字电路的分类
 1. 1. 2  数字电路的特点
 1, 1. 3  数字电路与逻辑设计的基本方法
 1. 2  脉冲和脉冲电路
 1. 3  数字信号和数字电路
 1. 4  电子系统设计
 1. 4. 1  电子系统设计概述
 1. 4. 2  电子系统设计方法
 第2章  数制与编码
 2. 1  进位计数制
 2. 1. 1  十进制
 2. 1. 2  二进制
 2. 1. 3  任意进制计数
 2. 2  数制转换
 2. 2. 1  将R进制转换为十进制数
 2. 2. 2  将十进制数转换成R进制数
 2. 2. 3  任意两种进位制之间的转换
 2. 2. 4  基数为2K进位制之间的转换
 2. 3  编码
 2. 3. 1  二-十进制(BCD)码
 2. 3. 2  奇偶校验码
 2. 3. 3  字符代码
 第3章  逻辑函数及其简化
 3. 1  逻辑变量
 3. 1. 1  逻辑变量的概念
 3. 1. 2  基本逻辑运算
 3. 1. 3  逻辑公理小结
 3. 2  逻辑定理
 3. 2. 1  逻辑定理
 3. 2. 2  布尔代数基础
 3. 2. 3  一些重要的逻辑规则
 3. 3  逻辑表达式
 3. 3. 1  最小项及最小项表达式
 3. 3. 2  最大项及最大项表达式
 3. 3. 3  不完全确定电路的SOP和POS表达式
 3. 4  逻辑化简
 3. 4. 1  化简的意义
 3. 4. 2  卡诺图化简
 3. 4. 3  Q-M法
 第4章  组合逻辑电路
 4. 1  概述
 4. 1. 1  数字逻辑分类
 4. 1. 2  组合逻辑电路
 4. 2  组合逻辑的综合(设计)
 4. 2. 1  组合逻辑电路的分析
 4. 2. 2  组合逻辑电路的设计
 4. 3  组合逻辑电路的竞争与冒险
 4. 3. 1  组合逻辑中的常用模块
 4. 3. 2  组合逻辑中的竞争和冒险
 第5章  集成逻辑门
 5. 1  概述
 5. 1. 1  现状
 5. 1. 2  基本逻辑门
 5. 1. 3  复合逻辑门
 5. 1. 4  三态门
 5. 2  分立元件门电路
 5. 3  TTL集成逻辑门
 5. 3. 1  二极管-晶体管逻辑门电路(DTL)
 5. 3. 2  晶体管-晶体管逻辑门电路(TTL)
 5. 3. 3  TTL电路的改进系列
 5. 3. 4  TTL扩展器. 集电极开路门及三态门
 5. 4  发射极耦合逻辑(ECL)门
 5. 4. 1  典型原理电路
 5. 4. 2  ECL门的实际电路
 5. 5  MOS逻辑门
 5. 5. 1  NMOS逻辑门电路
 5. 5. 2  PMOS逻辑门电路
 5. 5. 3  CMOS逻辑门电路
 5. 6  不同逻辑系列的配合问题
 5. 6. 1  逻辑电平的配合
 5. 6. 2  驱动能力的配合
 5. 7  各种集成逻辑门性能比较
 第6章  触发器
 6. 1  时序电路的概述
 6. 2  时钟触发器
 6. 2. 1  基本RS(FF)触发器
 6. 2. 2  锁存器
 6. 2. 3  主从RS触发器
 6. 2. 4  钟控RS触发器
 6. 2. 5  主从JK触发器
 6. 3  边沿触发器
 6. 3. 1  沿触发JK F/F
 6. 3. 2  D-触发器
 6. 3. 3  T触发器
 6. 3. 4  触发器逻辑功能的转换
 6. 4  触发器的选择和使用
 第7章  可编程逻辑器件及其应用
 7. 1  概述
 7. 2  可编程逻辑器件的基本结构
 7. 2. 1  PLD概述
 7. 2. 2  基本门电路的PLD表示方式
 7. 2. 3  PLD电路表示法
 7. 3  可编程阵列逻辑(PAL)器件
 7. 3. 1  现场可编程逻辑阵列(FPLA)器件
 7. 3. 2  PAL器件的基本结构
 7. 3. 3  PAL器件的输出和反馈结构
 7. 3. 4  PAL器件编号与典型PAL器件介绍
 7. 3. 5  PAL器件的应用
 7. 4  通用逻辑阵列(GAL)器件
 7. 4. 1  GAL器件的基本类型
 7. 4. 2  PAL型GAL器件
 7. 5  复杂可编程逻辑器件(CPLD)
 7. 5. 1  概述
 7. 5. 2  基于查找表的CPLD的电路结构
 第8章  硬件描述语言VHDL
 8. 1  旧的硬件设计方法
 8. 2  使用HDL的硬件设计方法
 8. 3  VHDL硬件设计语言
 8. 4  VHDL语言的基本结构
 8. 4. 1  基本设计单元
 8. 4. 2  库. 包和配置
 8. 4. 3  VHDL中使用的数据类型和运算操作
 8. 4. 4  VHDL中的数据类型
 8. 4. 5  VHDL语言的运算操作符
 8. 4. 6  VHDL语言构造体的描述方式
 8. 4. 7  VHDL语言的主要描述语句
 8. 4. 8  基本逻辑电路设计
 第9章  VHDL程序描述
 9. 1  VHDL程序的基本单元
 9. 2  设计实体
 9. 2. 1  类属和端口说明
 9. 2. 2  端口模式
 9. 2. 3  实体说明部分
 9. 2. 4  实体语句部分
 9. 2. 5  构造体
 9. 2. 6  配置
 9. 3  库和包
 9. 3. 1  库
 9. 3. 2  包
 9. 3. 3  配置
 9. 3. 4  块(block)语句子结构
 9. 3. 5  进程(process)语句子结构
 9. 3. 6  子程序(subprogram)语句子结构
 9. 4  描述风格
 9. 4. 1  行为描述
 9. 4. 2  数据流描述
 9. 4. 3  结构描述
 9. 4. 4  混合描述
 9. 5  VHDL中的数据类型及属性
 9. 5. 1  标准的数据类型
 9. 5. 2  用户定义的数据类型
 9. 5. 3  其他类型
 9. 5. 4  子类型
 9. 5. 5  数据类型的转换
 9. 5. 6  数据类型的限定
 9. 5. 7  IEEE标准
 9. 6  词法单元
 9. 6. 1  注释
 9. 6. 2  数字
 9. 6. 3  字符
 9. 6. 4  字符串
 9. 6. 5  位串
 9. 7  VHDL表达式与运算符
 9. 7. 1  逻辑运算符
 9. 7. 2  算术运算符
 9. 7. 3  关系运算符
 9. 7. 4  并置运算符
 9. 7. 5  运算符的优先级
 9. 8  VHDL主要描述语句
 9. 8. 1  顺序语句
 9. 8. 2  并行语句
 9. 9  命名规则和注解标注
 第10章  VHDL程序举例
 10. 1  组合逻辑电路
 10. 1. 1  最高优先级编码器
 10. 1. 2  8位相等比较器
 10. 1. 3  优先编码器
 10. 1. 4  8位大小比较器
 10. 1. 5  8位总线收发器74254
 10. 1. 6  地址译码器
 10. 1. 7  三人表决器(三种不同的描述方式)
 10. 1. 8  LED七段译码器
 10. 1. 9  多路选择器(使用if-else语句)
 10. 1. 10  双2-4译码器74139
 10. 1. 11  汉明纠错码编码器
 10. 1. 12  加法器
 10. 1. 13  除24计数
 10. 2  时序逻辑电路
 10. 2. 1  四D触发器74175
 10. 2. 2  用状态机实现的计数器
 10. 2. 3  简单的锁存器
 10. 2. 4  各种功能的计数器
 10. 2. 5  简单的12位寄存器
 10. 2. 6  模16计数器(使用JK)
 10. 2. 7  带load. clr功能的寄存器
 10. 2. 8  通用寄存器
 10. 2. 9  移位寄存器74164
 10. 2. 10  带三态输出的8位D寄存器74374
 10. 3  基本语法
 10. 3. 1  计数器:std_logic_unsigned的用法
 10. 3. 2  计数器:数据类型转换语句的应用
 10. 3. 3  计数器:generate语句的应用
 10. 3. 4  计数器:GENERIC语句的应用
 10. 3. 5  计数器:wait语句的应用
 10. 3. 6  加法器:generate语句的应用
 10. 3. 7  条件赋值:使用when else语句
 10. 3. 8  条件赋值:使用列举类型
 10. 3. 9  条件赋值:使用多路选择器
 10. 3. 10  无符号数到整数的转换
 10. 3. 11  元件例化
 10. 4  存储器举例
 10. 5  状态机举例
 10. 5. 1  使用列举类型的状态机
 10. 5. 2  带同步复位的状态机
 10. 5. 3  使用变量的状态机
 10. 5. 4  摩尔型状态机1
 10. 5. 5  摩尔型状态机2
 10. 5. 6  米勒型状态机
 10. 5. 7  带摩尔/米勒输出的状态机,
 10. 5. 8  测试向量举例
 10. 5. 9  波形发生器
 10. 5. 10  相应加法器的测试向量
 10. 6  其他举例
 10. 6. 1  伪随机数产生器
 10. 6. 2  电子密码锁控制电路
 10. 6. 3  棋类比赛计时时钟
 10. 6. 4  Pelican Crossiong控制器
 10. 6. 5  白立堪十字控制器测试
 10. 6. 6  直流电机控制器
 10. 6. 7  一个简单的UART
 10. 6. 8  布斯乘法器
 10. 6. 9  伪随机比特发生器
 10. 6. 10  步进电机控制器
 参考文献

本目录推荐