注册 | 登录读书好,好读书,读好书!
读书网-DuShu.com
当前位置: 首页出版图书科学技术工业技术无线电电子学、电信技术EDA技术与应用(第2版)

EDA技术与应用(第2版)

EDA技术与应用(第2版)

定 价:¥49.00

作 者: 谢海霞,孙志雄 著
出版社: 北京航空航天大学出版社
丛编项: 普通高校“十三五”规划教材
标 签: 暂缺

购买这本书可以去


ISBN: 9787512429628 出版时间: 2019-03-01 包装: 平装
开本: 16开 页数: 242 字数:  

内容简介

  随着EDA技术的发展和应用领域的扩大,EDA技术在电子信息、通信、自动控制及计算机应用等领域的重要性日益突出,EDA已成为当今世界上先进的电子电路设计技术。《EDA技术与应用(第2版)》理论与实践相结合,由浅入深地介绍了可编程逻辑器件、EDA及其应用设计技术。其主要内容包括EDA技术概述、EDA工具软件、可编程逻辑器件、VHDL语言、EDA技术应用、EDA技术实验和Verilog HDL语言。《EDA技术与应用(第2版)》可作为高等院校电子类、通信与信息类、自动化类、计算机类专业“EDA技术与应用”课程的教材,也可作为广大工程技术人员的参考书。

作者简介

暂缺《EDA技术与应用(第2版)》作者简介

图书目录

第1章 EDA技术概述
1.1 EDA技术及发展
1.2 硬件描述语言
1.3 可编程逻辑器件
1.4 EDA设计流程及其工具
习题
第2章 EDA工具软件
2.1 Quartus II简介
2.2 Quartus II的原理图输入设计法
2.2.1 建立设计工程
2.2.2 设计项目的编译
2.2.3 生成元件符号
2.2.4 设计项目的仿真
2.2.5 编程下载设计文件
2.2.6 设计电路硬件调试
2.3 层次化设计方法
2.4 MAX+plus II老式宏函数的应用
2.5 Quartus II强函数的应用
2.6 嵌入式逻辑分析仪的使用方法
2.6.1 十进制计数器的设计
2.6.2 打开SignalTap II编辑窗口
2.6.3 调入节点信号
2.6.4 参数设置
2.6.5 文件存盘
2.6.6 编译与下载
2.6.7 运行分析
习题
第3章 可编程逻辑器件
3.1 可编程逻辑器件的概述
3.1.1 可编程逻辑器件的分类
3.1.2 可编程逻辑器件的发展
3.1.3 可编程逻辑器件的结构原理
3.2 编程与配置
3.2.1 JTAG方式的在系统编程
3.2.2 使用PC并口配置FPGA
习题
第4章 VHDL语言
4.1 VHDL简介
4.1.1 VHDL发展概况
4.1.2 VHDL的特点
4.2 VHDL程序基本结构
4.2.1 库和程序包
4.2.2 实体
4.2.3 结构体
4.2.4 配置
4.2.5 VHDL设计实例
4.3 VHDL语言要素
4.3.1 VHDL文字规则
4.3.2 VHDL数据对象
4.3.3 VHDL数据类型
4.3.4 VHDL的预定义数据类型
4.3.5 IEEE预定义的标准逻辑位和矢量
4.3.6 用户自定义的预定义数据类型
4.3.7 VHDL操作符
4.4 VHDL顺序语句
4.4.1 赋值语句
4.4.2 转向控制语句
4.4.3 WAIT语句
4.4.4 ASSERT(断言)语句
4.4.5 RETURN(返回)语句
4.4.6 NULL(空操作)语句
4.5 VHDL并行语句
4.5.1 进程语句
4.5.2 块语句
4.5.3 并行信号赋值语句
4.5.4 7元件例化语句
4.5.5 生成语句
4.5.6 子程序和并行过程调用语句
习题
第5章 EDA技术应用
5.1 组合逻辑电路的设计
5.1.1 门电路的设计
5.1.2 编码器的设计
5.1.3 译码器的设计
5.1.4 数据选择器的设计
5.1.5 数值比较器的设计
5.1.6 运算电路的设计
5.2 时序逻辑电路的设计
5.2.1 触发器的设计
5.2.2 锁存器的设计
5.2.3 寄存器和移位寄存器的设计
5.2.4 计数器的设计
5.3 存储器的设计
5.3.1 只读存储器的设计
5.3.2 随机存储器RAM的设计
5.4 EDA技术设计实例
5.4.1 任意分频器的VHDL设计
5.4.2 序列检测器的设计
习题
第6章 EDA技术实验
6.1 EDA基础实验
6.1.1 实验1——EDA软件的熟悉与使用
6.1.2 实验2——1位半加器的设计
6.1.3 实验3——1位全加器的设计
6.1.4 实验4——译码器实验
6.1.5 实验5——基于LPM_ROM的九九乘法器
6.1.6 实验6——数据选择器的VHDL设计
6.1.7 实验7——触发器实验
6.1.8 实验8——计数器实验
6.2 EDA综合实验
6.2.1 实验9——数码管显示控制实验
6.2.2 实验10——计数、译码和显示电路设计
6.2.3 实验11——2位十进制数字频率计
6.2.4 实验12——序列信号发生器
6.2.5 实验13——8位硬件加法器
6.2.6 实验14——D/A接口电路与波形发生器设计
6.2.7 实验15——键盘控制电路设计
6.3 EDA设计实验
6.3.1 实验16——花样彩灯控制器的设计
6.3.2 实验17——数字钟的设计
6.3.3 实验18——8位数字频率计的设计
6.3.4 实验19——8人电子抢答器的设计
6.3.5 实验20——交通信号灯的设计
第7章 VerilogHDL语言
7.1 Verilog HDL模块结构
7.1.1 模块端口的定义
7.1.2 模块内容
7.2 Verilog HDL语言要素
7.2.1 空白符和注释
7.2.2 常数
7.2.3 字符串
7.2.4 标识符
7.2.5 关键字
7.2.6 操作符
7.2.7 Verilog HDL数据对象
7.3 Verilog HDL的语句
7.3.1 赋值语句
7.3.2 条件语句
7.3.3 循环语句
7.3.4 结构声明语句
7.4 不同抽象级别的Verilog HDL模型
7.4.1 Verilog HDL门级描述
7.4.2 Verilog HDL的行为级描述
7.4.3 用结构描述实现电路系统设计
习题
附录A Altera DE2-70 EDA开发板简介
A.1 DE2-70开发板的结构
A.2 DE2-70开发板目标芯片的引脚分布
附录B ZY11EDA13BE型EDA技术实验箱简介
参考文献

本目录推荐