注册 | 登录读书好,好读书,读好书!
读书网-DuShu.com
当前位置: 首页出版图书教育/教材/教辅教材研究生/本科/专科教材数字逻辑设计与VHDL描述(第2版)

数字逻辑设计与VHDL描述(第2版)

数字逻辑设计与VHDL描述(第2版)

定 价:¥31.00

作 者: 徐惠民,安德宁编著
出版社: 机械工业出版社
丛编项: 高等院校通信与信息专业规划教材
标 签: 数字逻辑

ISBN: 9787111099550 出版时间: 2004-07-01 包装: 胶版纸
开本: 24cm 页数: 343 字数:  

内容简介

  本书是适应21世纪需要的“数字逻辑设计与VHDL描述”教材。本书在保留“数字电路与逻辑设计”的系统性和完整性基础上,详细介绍了用VHDL硬件描述语言对数字电路和系统进行描述和设计的方法。全书包括数字逻辑设计基础,以CMOS为主的数字集成电路,组合电路的分析、设计和描述,时序电路的分析、设计和描述,可编程逻辑器件,数字系统的描述和设计。全书强调基本概念和基本方法,每章都有相当数量的习题和思考题。本书可作高等院校通信与信息专业的教材,也可作为相关技术人员参`考和培训教材。前言21世纪是信息化的时代,数字化是人类进入信息化的必要条件。“数字逻辑设计与VHDL描述”是数字化的基础,因此“数字逻辑设计与VHDL描述”课程是通信工程、计算机、自动控制、电子工程等电类专业和机电一体化非电类专业的一门专业基础课,也是一门发展最快、应用最广的学科。随着计算技术和数字技术的发展,在现代电子设备中,单纯用模拟电路实现的已经很少见,通常只在微弱信号放大、高频数据采集和大功率输出等局部电路采用模拟电路,其余部分广泛采用数字电路。因此,对大多数电子设备而言,其整体部分是数字系统。为此,对数字电路的分析与设计,就成为电子工程技术人员必备的专业基础知识。’在数字电路与数字系统中,所用逻辑组件已由2世纪6年代的小规模集成(SSl)、2世纪7年代后的中规模集成(MSl)标准逻辑部件,发展到目前的大规模集成(LSl)、超大规模集成(VLSl)、专用集成电路(ASIC)。集成电路工艺已由TTL为主变为以CMOS为主。相应地,数字逻辑电路的设计方法也在不停地演变和发展,使数字系统的设计从传统的单纯硬件设计方法,变为计算机软硬件协同设计的方法。它使电子设计自动化(EDA)和电子系统设计自动化(ESDA)成为现代电子系统设计和制造中的主要技术手段。EDA和ESDA技术是现代电子工程师进行电子系统和电子工程设计所必须掌握的技术。为了适应电子系统设计技术的发展,培养面向21世纪、参与国内外市场竞争的电子技术人材,本书在保留“数字电路与逻辑设计”的系统性和完整性基础上,对中小规模的内容作了适当精简,在门电路部分以介绍CMOS为主,在组合和时序电路方面,加强了大规模组件方面的内容,特别是在可编程逻辑器件(PLD)方面的编程(用VHDL语言)和使用,作了较详细的介绍。本书为读者提供了独立分析和设计数字电路和数字系统的工具,并帮助读者建立规范有序的思维习惯,以提高分析和解决实际问题的能力。本书既重视基本的逻辑设计概念和方法的介绍,也重视对于用硬件描述语言描述和设计数字电路的介绍。为了使读者能够较早地接触和充分掌握VHDL语言的使用,我们采用一边介绍语言,一边介绍语言的应用,最后介绍对于数字系统的描述。《数字逻辑设计和VHDL描述》出版后,受到了普遍的关注,认为数字逻辑设计和硬件描述语言的结合是一种发展趋势,所以教材有很好的参考价值。数字逻辑设计是一门实践性很强的课程,许多概念和方法需要在实践中进一步加深理解,并且,在实践中提高学生的应用能力。为此,我们在这次再版时,专门增加了一章介绍数字逻辑实验。其中,介绍了实验操作的基本知识,提供了一批实验题目。实验题目分为基本实验、PLD实验和课程设计题目。基本实验的题目数量不是很多,但都是经过精心挑选的。学生必须经过必要的硬件实验,才会有基本的处理实际问题的能力。PLD实验是软件模拟实验,通过这些实验可以熟悉VHDL语言的使用以及逻辑模拟的基本过程,进一步掌握有关电路的设计方法。最后的“数字系统设计课题”,可以作为课程设计的选题。这些题目的综合性和实用型都比较强,对于学生的能力培养很有促进作用。本书是作者依据多年教学和科研的经验,参考国内外优秀教材编写而成的。全书共分为1章,第1~3章是预备知识。内容包括数制与编码、逻辑代数基础和集成逻辑门电路。主要介绍了二进制数和其他进制数之间的互换,二进制数的算术运算,几种常用的二—十进制代码及其加法运算,格雷码和差错检测码。对于逻辑函数的化简,只介绍代数法和卡诺图法。在门电路部分主要介绍CMOS集成电路的结构和外特性等。对TTL和ECL电路只作简要介绍。第4章组合逻辑电路。结合实际例子介绍使用中、小规模集成电路进行逻辑设计的方法。第5章开始引入VHDL语言及其描述。先介绍最基本的描述方法和语句,并结合对于组合电路的描述,使读者开始熟悉和运用这种方法。第6章介绍集成触发器,也介绍用VHDL语言对于时序电路进行描述的基本方法。第7章介绍时序逻辑电路的分析和设计。内容包括使用中、小规模集成电路的设计,及用VHDL语言对时序电路和系统进行描述的方法。第8章是可编程逻辑器件,介绍了可编程逻辑器件的工作原理,PAL、GAL和CPLD芯片的结构和原理,对于门阵列只作了简单的介绍。第9章是数字系统设计,希望读者通过这一章的学习能够开始自己设计数字系统。第1章是数字逻辑电路实验,介绍实验基本知识,提供大量实验课题。本书在每章后面有一定数量的习题,其中有些题有一定难度。作者的意图在于引起读者思考,并加深读者对所学内容的理解与掌握,习题数量比较多,为教师和同学提供了选择的机会。我们将考虑编写和出版有关的习题解答和实验指导。为便于老师们使用本教材。我们编写了本书的电子教案,请登录WWW.cmpbook.com下载。本书的第1、2、3、4、8章由安德宁编写,第5、6、7、9由徐惠民编写,第1章由徐惠民和安德宁共同编写。彭家浚、李春宜、韩玉芬、徐晶、龚乃绪等也参与了本书编写的有关工作。本书对于VHDL语言的介绍只是基本的,全面的学习可参见有关的资料。由于作者水平有限,加之时间仓促,书中难免存在缺点和错误,恳请广大读者批评指正。我们的邮件地址是huimin@bupt.edu.cn,欢迎联系。编者

作者简介

暂缺《数字逻辑设计与VHDL描述(第2版)》作者简介

图书目录

第1章 数制与编码
1. 1 进位计数制
1. 1. 1 基数和权
1. 1. 2 2n进制数之间的转换
1. 1. 3 十进制数和2n进制数之间的转换
1. 2 二-十进制编码
1. 2. 1 几种二-十进制编码
1. 2. 2 二-十进制代码的加法
1. 3 格雷 Gray 码
1. 4 差错检测码
1. 4. 1 奇偶校验码
1. 4. 2 五中取二码和六中取二码
1. 5 习题
第2章 逻辑代数基础
2. 1 基本概念
2. 1. 1 逻辑变量和逻辑函数
2. 1. 2 基本逻辑运算
2. 1. 3 导出逻辑运算
2. 1. 4 逻辑函数的表示方法
2. 2 逻辑代数的定理和规则
2. 2. 1 逻辑代数的基本定律
2. 2. 2 常用公式
2. 2. 3 展开定理
2. 2. 4 逻辑代数的三个规则
2. 3 逻辑函数的标准表达式
2. 3. 1 标准与或式
2. 3. 2 标准或与式
2. 3. 3 不完全确定的逻辑函数
2. 4 逻辑函数的化简方法
2. 4. 1 逻辑函数式的化简目标
2. 4. 2 代数化简法
2. 4. 3 卡诺图法化简逻辑函数
2. 5 习题
第3章 集成逻辑门电路
3. 1 概述
3. 1. 1 电压电平
3. 1. 2 正逻辑和负逻辑
3. 2 MOS晶体管
3. 2. 1 MOS晶体管的分类
3. 2. 2 MOS管的三个工作区
3. 2. 3 MOS管的开关时间
3. 3 CMOS反相器
3. 3. 1 CMOS反相器的结构及工作原理
3. 3. 2 CMOS反相器的电压传输特性
3. 3. 3 CMOS反相器的功耗
3. 3. 4 CMOS反相器的开关时间
3. 4 CMOS其他逻辑门电路
3. 4. 1 CMOS与非门
3. 4. 2 CMOS或非门
3. 4. 3 门的输入端数的扩展
3. 4. 4 缓冲门. 与门及或门
3. 4. 5 CMOS与或非门和异或门
3. 4. 6 CMOS传输门
3. 5 CMOS集成电路的输出结构
3. 5. 1 推挽输出
3. 5. 2 三态输出
3. 5. 3 漏极开路输出
3. 5. 4 施密特触发器
3. 6 CMOS逻辑系列
3. 6. 1 HC和HCT系列
3. 6. 2 VHC和VHCT
3. 6. 3 FAC和FACT
3. 6. 4 CMOS电路使用中应注意的问题
3. 7 双极型集成逻辑电路
3. 7. 1 晶体三极管非门
3. 7. 2 肖特基晶体三极管
3. 8 TTL逻辑门电路
3. 8. 1 TTL与非门电路
3. 8. 2 LSTTL或非门
3. 8. 3 TTL系列
3. 8. 4 TTL电路使用中注意的问题
3. 9 ECL逻辑电路
3. 9. 1 基本ECL电路
3. 9. 2 ECL系列
3. 9. 3 ECL电路使用中应注意的问题
3. 10 逻辑门的混合逻辑符号
3. 10. 1 缓冲门的混合逻辑符号
3. 10. 2 与门和与非门的混合逻辑符号
3. 10. 3 或门及或非门的混合逻辑符号
3. 11 习题
第4章 组合逻辑电路
4. 1 组合逻辑电路的分析
4. 1. 1 组合逻辑电路的分析步骤
4. 1. 2 分析举例
4. 2 中规模组合逻辑电路
4. 2. 1 编码器
4. 2. 2 译码器
4. 2. 3 数据选择器
4. 2. 4 加法器
4. 2. 5 数值比较器
4. 2. 6 奇偶校验器
4. 3 组合逻辑电路的综合
4. 3. 1 组合逻辑电路的综合方法
4. 3. 2 组合逻辑电路设计举例
4. 4 组合逻辑电路中的竞争与冒险
4. 4. 1 冒险的分类
4. 4. 2 冒险的检查及消除
4. 5 习题
第5章 VHDL描述组合逻辑电路
5. 1 硬件描述语言VHDL
5. 1. 1 设计过程
5. 1. 2 VHDL语言的基本特点
5. 2 VHDL描述的基本结构
5. 2. 1 实体描述
5. 2. 2 结构体描述
5. 3 数据类型. 运算符和表达式
5. 3. 1 枚举类型
5. 3. 2 数组类型
5. 3. 3 子类型
5. 3. 4 VHDL运算符
5. 3. 5 常量的定义
5. 3. 6 VHDL表达式
5. 4 VHDL的库和包
5. 4. 1 VHDL库的种类和使用
5. 4. 2 程序包
5. 4. 3 库和程序包的引用
5. 4. 4 函数和过程
5. 5 并行处理语句
5. 5. 1 并行赋值语句
5. 5. 2 条件赋值语句
5. 5, 3 选择信号赋值语句
5. 6 顺序描述语句
5. 6. 1 PROCESS语句
5. 6. 2 信号和变量赋值语句
5. 6. 3 分支语句
5. 6. 4 循环语句
5. 7 结构描述语句
5. 7. 1 部件声明语句
5. 7. 2 部件描述语句
5. 7. 3 重复部件的描述
5. 8 VHDL描述组合逻辑电路
5. 8. 1 译码电路的描述
5. 8. 2 三态门的描述
5. 8. 3 编码器的描述
5. 9 习题
第6章 集成触发器
6. 1 触发器的基本特性及其记忆作用
6. 2 电位型触发器
6. 2. 1 基本RS触发器
6. 2. 2 带使能端的RS触发器
6. 2. 3 D触发器
6. 2. 4 锁存器
6. 3 时钟控制的集成触发器
6. 3. 1 主从触发器
6. 3. 2 T触发器
6. 3. 3 边沿触发器
6. 4 触发器的逻辑符号
6. 5 CMOS触发器
6. 5. 1 带使能端D触发器
6. 5. 2 CMOS主从D触发器
6. 5. 3 CMOSJK触发器
6. 6 集成触发器的时间参数
6. 6. 1 建立时间和保持时间
6. 6. 2 时钟信号的时间参数
6. 7 触发器的VHDL描述
6. 7. 1 电位型触发器的VHDL描述
6. 7. 2 钟控型触发器的描述
6. 8 习题
第7章 时序逻辑电路的分析. 设计和描述
7. 1 时序电路基础
7. 1. 1 同步时序电路的分类和描述
7. 1. 2 常用时序电路
7. 2 常用同步时序电路的分析
7. 2. 1 同步时序电路分析的步骤
7. 2. 2 同步计数器的分析
7. 2. 3 移位寄存器及其应用电路的分析
7. 3 常用时序电路的设计
7. 3. 1 基本的设计步骤
7. 3. 2 同步计数器的设计
7. 3. 3 序列信号发生器
7. 3. 4 M序列发生器
7. 4 异步计数器
7. 4. 1 异步计数器的基本形式
7. 4. 2 异步计数器的分析
7. 5 中规模时序集成电路
7. 5. 1 中规模集成计数器
7. 5. 2 中规模计数器的应用
7. 5. 3 中规模移位寄存器
7. 5. 4 中规模移位寄存器的应用
7. 5. 5 时序部件的VHDL描述
7. 6 一般时序电路的分析和设计
7. 6. 1 一般时序电路的分析
7. 6. 2 一般时序电路的设计
7. 6. 3 时序机的VHDL描述
7. 7 习题
第8章 可编程逻辑器件
8. 1 概述
8. 1. 1 专用集成电路的分类
8. 1. 2 PLD的基本结构
8. 1. 3 PLD电路的表示方法
8. 1. 4 PLD的分类
8. 1. 5 PLD的性能特点
8. 2 只读存储器ROM
8. 2. 1 ROM的逻辑结构
8. 2. 2 ROM的分类
8. 2. 3 ROM的应用
8. 3 可编程阵列逻辑 PAL
8. 3. 1 PAL的基本结构
8. 3. 2 PAL16L8的逻辑结构图及应用
8. 4 通用阵列逻辑 GAL
8. 4. 1 GAL的性能特点
8. 4. 2 GAL的结构
8. 4. 3 输出逻辑宏单元OLMC
8. 5 可擦除可编程的逻辑器件 EPLD
8. 5. 1 MAX7000系列器件的结构
8. 5. 2 宏单元 MACROCELL
8. 5. 3 扩展乘积项
8. 5. 4 PIA和I/O控制块
8. 5. 5 EPM7128S应用举例
8. 6 复杂的可编程逻辑器件 CPLD
8. 6. 1 FLEX 10K器件的结构
8. 6. 2 嵌入阵列块 EAB
8. 6. 3 逻辑阵列块 LAB
8. 6. 4 逻辑单元 LE
8. 6. 5 快速通道互连
8. 6. 6 输入输出单元 IOE
8. 7 现场可编程门阵列 FPGA 的特点
8. 7. 1 FPGA的基本结构
8. 7. 2 FPGA的特点
8. 8 习题
第9章 数字系统设计
9. 1 层次化结构设计
9. 1. 1 设计的层次
9. 1. 2 系统结构的分解
9. 2 自顶向下设计方法
9. 2. 1 自顶向下设计方法的基本设计过程
9. 2. 2 数字系统的基本划分
9. 3 复数运算器的设计
9. 3. 1 设计要求
9. 3. 2 确定系统接口
9. 3. 3 系统划分
9. 3. 4 系统描述
9. 4 习题
第10章 数字逻辑电路实验
10. 1 数字逻辑电路实验的基本知识
10. 1. 1 数字集成电路器件简介
10. 1. 2 数字逻辑电路的测试
10. 1. 3 数字电路常见故障的查找与排除
10. 1. 4 实验报告的撰写
10. 2 数字电路的基本实验
10. 2. 1 实验一 常用数字仪表的使用
10. 2, 2 实验二 逻辑门的电压传输特性及参数测量
10. 2. 3 实验三 组合逻辑电路的应用 一
10. 2. 4 实验四 组合逻辑电路的应用 二
10. 2. 5 实验五 触发器及移位寄存器的应用
10. 2. 6 实验六 MSI计数器的应用
10. 3 MAX plusII软件开发系统及其安装
10. 3. 1 MAX plusII的安装
10. 3. 2 启动MAX plusII
10. 4 MAX plusII设计平台的使用方法
10. 4. 1 设计输入
10. 4. 2 设计编译
10. 4. 3 设计验证
10. 4. 4 器件编程
10. 5 可编程逻辑器件实验
10. 5. 1 EPM7128S的管脚图及主要电气参数
10. 5. 2 用VHDL设计PLD的实验
10. 6 数字系统设计课题
10. 6. 1 课题一 交通灯控制器
10. 6. 2 课题二 三层电梯控制器
10. 6. 3 课题三 乒乓游戏机
10. 6. 4 课题四 数字频率计
10. 6. 5 课题五 数字锁
10. 6. 6 课题六 数字日历
10. 6. 7 课题七 数字钟
10. 6. 8 课题八 智能函数发生器
10. 6. 9 课题九 智能竞赛抢答器
10. 6. 10 课题十 数据采集与监测
系统
参考文献

本目录推荐