注册 | 登录读书好,好读书,读好书!
读书网-DuShu.com
当前位置: 首页出版图书科学技术工业技术自动化技术、计算技术EDA技术及应用(第二版)

EDA技术及应用(第二版)

EDA技术及应用(第二版)

定 价:¥23.00

作 者: 谭会生,张昌凡编著
出版社: 西安电子科技大学出版社
丛编项: 面向21世纪高等学校信息工程类专业系列教材
标 签: Protel/EDA

ISBN: 9787560610481 出版时间: 2001-09-01 包装: 胶版纸
开本: 26cm 页数: 349 字数:  

内容简介

  本书以实用为主线,兼顾普及与提高。全书内容分为五个部分,前四部分为正文,共七章,第5部分为附录。第1部分概括地阐述了EDA技术及应用的有关问题(第1章);第2部分比较全面地介绍了EDA技术的主要内容,包括EDA的物质基础——大规模可编程逻辑器件FPGA/CPLD的结构及原理(第2章),EDA的主流表达方式——VHDL的编程基础(第3章),EDA的设计开发软件——三个主流厂家Lattice、Altera、Xilinx公司的设计开发软件的使用(第4章),EDA的实验开发系统——目前性能较好的GW48型EDA实验开发系统的结构及使用方法(第5章);第3部分提供了比较多的综合性的EDA应用设计实例(第6章);第4部分是EDA技术实验(第7章);第5部分是三个附录:常用FPGA/CPLD管脚图(附录1),VHDL程序设计的语法结构(附录2),利用WWW进行EDA资源的检索(附录3)。本书取材广泛,内容新颖,观点鲜明,重点突出,可供高等院校电子工程、通信工程、自动化、计算机应用、仪器仪表等信息工程类及相近专业的本科生或研究生使用,也可作为相关人员的自学参考书。★本书配有电子教案,有教学需要者可免费索取。

作者简介

暂缺《EDA技术及应用(第二版)》作者简介

图书目录

第1章  绪论 1                  
 1.1  EDA技术的涵义 1                  
 1.2  EDA技术的发展历程 1                  
 1.3  EDA技术的主要内容 3                  
 1.4  EDA软件系统的构成 5                  
 1.5  EDA工具的发展趋势 6                  
 1.6  EDA的工程设计流程 7                  
 1.7  数字系统的设计 10                  
 1.7.1  数字系统的设计模型 10                  
 1.7.2  数字系统的设计方法 11                  
 1.7.3  数字系统的设计准则 12                  
 1.7.4  数字系统的设计步骤 13                  
 1.8  EDA技术的应用展望 14                  
 第2章  大规模可编程逻辑器件 16                  
 2.1  可编程逻辑器件概述 16                  
 2.1.1  PLD的发展进程 16                  
 2.1.2  PLD的种类及分类方法 18                  
 2.2  复杂可编程逻辑器件(CPLD) 19                  
 2.2.1  CPLD的基本结构 19                  
 2.2.2  Altera 公司的器件产品 25                  
 2.3  现场可编程门阵列(FPGA) 41                  
 2.3.1  FPGA器件的结构 41                  
 2.3.2  FPGA的配置模式 54                  
 2.3.3  FPGA器件性能比较 59                  
 2.4  在系统可编程(ISP)逻辑器件 61                  
 2.4.1  ispLSI/pLSI 逻辑器件 62                  
 2.4.2  ispLSI/pLSI 1000/E. 2000和3000系列逻辑器件 66                  
 2.4.3  ispLSI 5000V. 6000和8000系列逻辑器件 68                  
 2.5  FPGA和CPLD的开发应用选择 75                  
 第3章  VHDL编程基础 79                  
 3.1  概述 79                  
 3.1.1  常用硬件描述语言简介 79                  
 3.1.2  VHDL的优点 79                  
 3.1.3  VHDL程序设计约定 81                  
 3.2  VHDL程序基本结构 81                  
 3.2.1  VHDL程序设计举例 81                  
 3.2.2  VHDL程序的基本结构 84                  
 3.2.3  实体(ENTITY) 85                  
 3.2.4  结构体(ARCHITECTURE) 87                  
 3.3  VHDL语言要素 89                  
 3.3.1  VHDL文字规则 89                  
 3.3.2  VHDL数据对象 90                  
 3.3.3  VHDL数据类型 93                  
 3.3.4  VHDL操作符 104                  
 3.4  VHDL顺序语句 108                  
 3.4.1  赋值语句 108                  
 3.4.2  转向控制语句 110                  
 3.4.3  WAIT 语句 120                  
 3.4.4  子程序调用语句 123                  
 3.4.5  返回语句(RETURN) 125                  
 3.4.6  空操作语句(NULL) 126                  
 3.4.7  其他语句和说明 127                  
 3.5  VHDL并行语句 134                  
 3.5.1  进程语句 135                  
 3.5.2  块语句 142                  
 3.5.3  并行信号赋值语句 145                  
 3.5.4  并行过程调用语句 148                  
 3.5.5  元件例化语句 150                  
 3.5.6  生成语句 152                  
 3.6  子程序(SUBPROGRAM) 156                  
 3.6.1  函数(FUNCTION) 157                  
 3.6.2  重载函数(OVERLOADED FUNCTION) 158                  
 3.6.3  过程(PROCEDURE) 160                  
 3.6.4  重载过程(OVERLOADED PROCEDURE) 162                  
 3.7  库. 程序包及其他 162                  
 3.7.1  库(LIBRARY) 162                  
 3.7.2  程序包(PACKAGE) 164                  
 3.7.3  配置(CONFIGURATION) 167                  
 3.8  VHDL描述风格 169                  
 3.8.1  行为描述 170                  
 3.8.2  数据流描述 171                  
 3.8.3  结构描述 171                  
 3.9  基本逻辑电路设计 173                  
 3.9.1  组合逻辑电路设计 173                  
 3.9.2  时序逻辑电路设计 180                  
 3.9.3  存储器设计 191                  
 3.10  状态机的VHDL设计 197                  
 3.10.1  状态机的基本结构和功能 197                  
 3.10.2  一般状态机的VHDL设计 198                  
 3.10.3  摩尔状态机的VHDL设计 200                  
 3.10.4  米立状态机的VHDL设计 206                  
 第4章  常用EDA工具软件操作指南 209                  
 4.1  Lattice ispEXPERT 操作指南 209                  
 4.1.1  ispEXPERT  System的安装 209                  
 4.1.2  原理图的设计操作指南 210                  
 4.1.3  VHDL设计操作指南 212                  
 4.2  Altera MAX+plus II操作指南 219                  
 4.2.1  MAX+plus II安装 219                  
 4.2.2  MAX+plus II操作指南 220                  
 4.3  Xilinx Foundation操作指南 228                  
 4.3.1  Xilinx Foundation的安装 228                  
 4.3.2  Foundation工程设计流程 229                  
 4.3.3  VHDL设计操作指南 231                  
 第5章  EDA实验开发系统 239                  
 5.1  GW48型EDA实验开发系统原理与使用介绍 239                  
 5.1.1  系统主要性能及特点 239                  
 5.1.2  系统工作原理 241                  
 5.1.3  系统主板结构与使用方法 242                  
 5.2  GW48实验电路结构图 245                  
 5.2.1  实验电路信号资源符号图说明 245                  
 5.2.2  各实验电路结构特点与适用范围简述 246                  
 5.3  GW48系统结构图信号名与芯片引脚对照表 255                  
 5.4  GW48型EDA实验开发系统使用示例 258                  
 第6章  VHDL设计应用实例 261                  
 6.1  8位加法器的设计 261                  
 6.2  8位乘法器的设计 263                  
 6.3  序列检测器的设计 268                  
 6.4  正负脉宽数控调制信号发生器的设计 270                  
 6.5  数字频率计的设计 272                  
 6.6  秒表的设计 277                  
 6.7  MCS-51单片机与FPGA/CPLD总线接口逻辑设计 280                  
 6.8  交通灯信号控制器的设计 284                  
 6.9  语音信箱控制系统的设计 287                  
 6.10  PID控制器的设计 290                  
 6.11  空调系统有限状态自动机的设计 294                  
 6.12  闹钟系统的设计 296                  
 6.12.1  闹钟系统的设计要求及设计思路 296                  
 6.12.2  闹钟系统的控制器的设计 297                  
 6.12.3  闹钟系统的译码器的设计 302                  
 6.12.4  闹钟系统的移位寄存器的设计 303                  
 6.12.5  闹钟系统的闹钟寄存器和时间计数器的设计 304                  
 6.12.6  闹钟系统的显示驱动器的设计 306                  
 6.12.7  闹钟系统的分频器的设计 308                  
 6.12.8  闹钟系统的整体组装 309                  
 6.12.9  闹钟系统的硬件验证 312                  
 主要参考文献 314                  

本目录推荐