注册 | 登录读书好,好读书,读好书!
读书网-DuShu.com
当前位置: 首页出版图书科学技术计算机/网络软件与程序设计其他编程语言/工具SOPC技术实用教程

SOPC技术实用教程

SOPC技术实用教程

定 价:¥36.00

作 者: 潘松等编著
出版社: 清华大学出版社
丛编项: ALTERA系列产品原理、开发和应用丛书
标 签: 硬件与维护

购买这本书可以去


ISBN: 9787302098485 出版时间: 2005-03-01 包装: 平装
开本: 26cm 页数: 414 字数:  

内容简介

  本书介绍了在电子工程技术前沿领域中正被日益广泛应用的SOPC解决方案及其技术。内容包括实现SOPC解决方案相关的工具软件及其使用方法、设计理论和设计实例。主要分为三部分:(1)SOPC设计环境工具软件Quartus II的使用方法;(2)SP Builder和MATLAB的现代DSP硬件设计技术及其相关的Nios系统硬件加速器与自定制指令的设计方法;(3)SOPC Builder的Nion嵌入式系统软硬件开发技术具体内容包括Quartus II基本用法、设计流程向导、常用的优化技术、逻辑锁定优化技术、嵌入式逻辑分析仪使用方法、Cyclone等FPGA器件用法及其配置方法,基于DSP Builder的DSP与数字通信模块设计方法,Nios嵌入式系统硬件配置与生成、系统综合、软件调试以及指令定制等。 本书可作为电子类各专业本科生、研究生的教材和相关领域工程技术人员的参考书;也可作为本科EDA技术课程的后续课程教材和现代电子系统设计、电子设计竞赛、DSP应用系统、数字通信系统以及Nios嵌入式系统高层次开发的参考书。

作者简介

暂缺《SOPC技术实用教程》作者简介

图书目录

第1章 概述
1.1 SOC单片系统
1.2 SOPC及其技术
1.3 基于FPGA和SOPC技术的处理器
1.4 基于FPGA和SOPC技术的DSP
第2章 Quartus II基本使用方法
2.1 IT.弦信号发生器设计
2.1.1 设计原理
2.1.2 创建工程和编辑设计文件
2.1.3 创建工程
2.1.4 编译前设置
2.1.5 编译
2.1.6 定制ROM初始化数据文件
2.1.7 定制ROM元件
2.1.8 再次全程编译并了解编译结果
2.1.9 仿真
2.1.10 应用RTL电路图观察器
2.2 引脚锁定和编程下载
2.2.1 引脚锁定
2.2.2 SOF文件下载
2.2.3 对配置器件编程
2.3 使用在系统嵌入式存储器数据编辑器
2.4 使用嵌入式逻辑分析仪进行实时测试
2.4.1 应用SignalTap II测试singt
2.4.2 编辑触发函数
2.5 嵌入式锁相环altPLL宏功能模块调用
2.5.1 建立嵌入式锁相环PLL元件
2.5.2 测试锁相环PLL
【习题】
【实验2-1】正弦信号发生器设计实验
【实验2-2】基于DDS的数字移相信号发生器设计实验
第3章 适配与时序优化设置
3.1 优化设置与时序分析
3.1.1 Settings设置
3.1.2 HDL版本设置及Analysis&Synthesis功能
3.1.3 Analysis&Synthesis的优化设置
3.1.4 Fitter设置
3.1.5 增量布局布线控制设置
3.1.6 使用Design Assistant检查设计可靠性
3.1.7 时序设置与分析
3.1.8 查看时序分析结果
3.1.9 适配优化设置
3.2 原理图与VHDL文本混合输入设计
3.2.1 设计16位VHDL加法器
3.2.2 8位乘法累加器顶层原理图设计
3.2.3 仿真
第4章 逻辑锁定技术
4.1 LogicLock技术的基本内容
4.1.1 LogicLock技术解决系统设计优化
4.1.2 LogicLock的基本内容
4.1.3 锁定区域的基本方式
4.1.4 层次化逻辑锁定区域
4.1.5 LogicLock技术的不同应用流程
4.1.6 系统性能强化策略
4.1.7 锁定区域的移植与再利用
4.2 流水线乘法器结构与未锁定前特性
4.3 应用逻辑锁定技术
4.3.1 pipemult模块设计
4.3.2 确定逻辑锁定区域及其特性
4.3.3 将设计实体移至锁定区域
4.3.4 编译优化锁定后的pipemult模块
4.3.5 输出逻辑锁定约束信息
4.3.6 将VQM文件加入进顶层工程
4.3.7 输入逻辑锁定约束
【习题】
【实验4-1】用逻辑锁定优化技术设计流水线乘法器实验
【实验4-2】用逻辑锁定优化技术设计16阶数字滤波器实验
第5章 Matlab/DSP Builder设计向导
5.1 Matlab/DSP Builder及其设计流程
5.2 可控正弦信号发生器设计
5.2.1 建立设计模型
5.2.2 Simulink模型仿真
5.2.3 SignalCompiler使用方法
5.2.4 使用ModelSim进行RTL级仿真
5.2.5 使用Quartus II实现时序仿真
5.2.6 硬件测试与硬件实现
5.3 DSP Builder层次化设计
DSP Builder的子系统(SubSystem)
5.4 DSP Builder的状态机设计
5.4.1 FIFO控制状态机设计示例
5.4.2 状态机设计流程
5.5 自动设计流程和SignalTap II的用法
5.5.1 安装SignalTap II Node模块
5.5.2 系统仿真和硬件测试
5.5.3 信号节点的资源利用情况
5.6 元件编辑窗整理
【习题】
【实验5-1】利用Matlab/DSP Builder设计基本电路模块实验..
第6章 DSP与数字通信模块设计
6.1 FIR数字滤波器设计
6.1.1 FIR滤波器原理
6.1.2 使用DSP Builder设计FIR滤波器
6.1.3 使用Matlab的滤波器设计工具
6.2 IIR数字滤波器设计
6.2.1 IIR滤波器原理
6.2.2设计4阶直接II型IIR滤波器
6.3 直接数字合成器设计
6.3.1 DDS模块设计
6.3.2 FSK调制器设计
6.3.3 正交信号发生器设计
6.3.4 数字移相信号发生器设计
6.4 数字编码与译码器设计
6.4.1 伪随机序列
6.4.2 帧同步检出
6.4.3 RS石马
6.4.4 Viterbi译码
【习题】
【实验6-1】FIR数字滤波器设计实验
【实验6-2】IIR数字滤波器设计实验
【实验6-3】基于DSP Builder的DDS设计实验
【实验6-4】编译码器设计实验
【实验6-5】正交幅度调制与解调模型设计实验
第7章 SOPC设计初步
7.1 Nios嵌入式CPU核
7.2 Nios嵌入式系统设计流程
7.2.1 Nios系统硬件开发流程
7.2.2 Nios系统软件开发流程
7.3 Nios系统设计实例
7.3.1 Nios硬件系统开发流程
7.3.2 Nios系统软件开发流程
7.4 Nios系统软件设计说明
7.4.1 Nios SDK目录概述
7.4.2 编辑输入并保存C源文件
7.4.3 源程序分析
7.4.4 编译源程序
7.4.5 下载程序代码
7.4.6 使用GNU Debug调试程序
7.4.7 Nios SDK Shell部分命令使用
【习题】
【实验7-1】设计一个简单的SOPC系统
第8章 Nios外设及其编程
8.1 串口UART
8.1.1 UART的寄存器定义
8.1.2 UART外部硬件连接
8.1.3 UART软件数据结构
8.1.4 UART编程
8.2 PIO
8.2.1 PIO类型
8.2.2 PIO寄存器定义
8.2.3 PIO软件数据结构
8.2.4 LED
8.2.5 数码管
8.2.6 按键
8.2.7 LCD
8.2.8 PIO简单输入输出操作示例
8.3 定时器编程
8.3.1 定时器概述
8.3.2 定时器寄存器定义
8.3.3 定时器软件数据结构
8.3.4 定时器程序设计示例
8.4 片内存储器
8.5 SRAM
8.6 Flash
【习题】
【实验8-1】简单测控系统串口接收程序设计
【实验8-2】GSM短信模块程序设计
第9章 Nios软件开发进阶
9.1 Nios软件开发工具
9.2 中断编程概述
9.3 串口中断
9.3.1 串口寄存器及其软件数据结构
9.3.2 串口中断程序设计示例
9.4 按键中断
9.5 定时器中断
定时器程序设计示例
【习题】
【实验9-1】秒表程序设计
第10章 深入了解Nios系统设计
10.1 Nios处理器结构
10.1.1 Nios处理器内部结构
10.1.1 内部寄存器组织
10.1.3 存储器组织
10.1.4 Nios指令集
10.2 使用ModelSim对Nios进行仿真
10.2.1 使用SOPC Builder生成ModelSim的仿真步骤
10.2.2 使用ModelSim仿真
10.3 Avalon总线简介
10.3.1 Avalon总线传输类犁及时序
10.4 自定制Avalon从外设
10.4.1 设计PWM自定义组件
10.4.2 添加PWM组件到Nios系统
10.4.3 PWM软件数据结构
10.4.4 PWM软件编程示例
10.5 DMA
10.5.1 DMA传输过程
10.5.2 DMA寄存器定义
10.5.3 DMA控制器软件数据结构及子程序
10.5.4 DMA控制器设置
10.6 自定制Avalon流模式外设
10.7 GERMS Monitor监控程序
10.8 Flash编程
10.9 使用其他SRAM和Flash
【习题】
【实验10-1】Avalon Slave外设(PWM模块)设计
【实验10-2】Avalon Slave外设(数码管动态扫描显示模块)设计
第11章 Nios综合设计示例
11.1 计时器设计
11.1.1 计时器的Nios硬件设计
11.1.2 计时器软件功能设计
11.1.3 计时器软件设计步骤
11.2 俄罗斯方块游戏机设计
11.2.1 硬件系统结构
11.2.2 Avalon流模式VGA控制器设计
11.2.3 VGA控制器外设在SOPC Builder中的安装
11.2.4 汉字和英文字符点阵库
11.2.5 俄罗斯方块游戏功能设计
11.2.6 俄罗斯方块游戏软件设计
【习题】
【实验11-1】简单计算器程序设计
【实验11-2】VGA显示终端设计
第12章 自定制Nios指令
12.1 自定制指令概述
12.1.1 Nios自定制指令的硬件结构
12.1.2 Nios自定制指令模块信号线
12.1.3 Nios自定制指令类型与格式
12.1.4 Nios自定制指令实现方式
12.2 自定制指令设计示例
12.2.1 基于VHDL的乘法指令和加法指令实现方法
12.2.2 基于VHDL的复数乘法指令实现
12.2.3 基于MATLAB/DSP Builder的Nios指令实现方法
【实验12-1】为Nios设计乘法累加器指令
【实验12-2】为Nios设计浮点乘法器
【实验12-3】为Nios设计对HFO操作的控制指令
【实验12-4】FFT算法设计
附录A SOPC/DSP实验开发系统
附录B 实验电路结构图
附录C GW48 SOPC系统实验信号名与芯片引脚对照表
参考文献

本目录推荐