注册 | 登录读书好,好读书,读好书!
读书网-DuShu.com
当前位置: 首页出版图书教育/教材/教辅教辅大学教辅EDA技术及应用教程

EDA技术及应用教程

EDA技术及应用教程

定 价:¥29.00

作 者: 赵全利,秦春斌 主编
出版社: 机械工业出版社
丛编项: 高等院校EDA系列教材
标 签: 基本电子电路

购买这本书可以去


ISBN: 9787111281993 出版时间: 2009-10-01 包装: 平装
开本: 16开 页数: 280 字数:  

内容简介

  《EDA技术及应用教程》从教学和应用的角度出发,首先介绍了EDA技术的基本概念、应用特点、可编程逻辑器件、硬件描述语言(VHDL)及常用逻辑单元电路的VHDL编程技术;然后,以EDA应用为目的,通过EDA实例详细介绍了EDA技术的开发过程、开发工具软件Quartus Ⅱ的使用、EDA设计过程中常见工程问题的处理;最后,介绍了工程中典型的EDA设计实例。《EDA技术及应用教程》各章节均配有习题及设计实例练习,便于读者学习和教学使用。《EDA技术及应用教程》可作为高等院校电子、通信、自动化及计算机等专业EDA应用技术的教学用书,也可作为高职院校相关专业的教学参考用书。

作者简介

暂缺《EDA技术及应用教程》作者简介

图书目录

前言
第1章 概述
1.1 EDA技术的发展
1.2 EDA技术的主要内容
1.2.1 可编程逻辑器件
1.2.2 硬件描述语言
1.2.3 EDA软件开发工具
1.2.4 实验开发系统
1.3 EDA技术的设计流程
1.3.1 设计输入
1.3.2 逻辑综合
1.3.3 目标器件的适配
1.3.4 目标器件的编程/下载
1.3.5 设计过程中的仿真
1.3.6 硬件仿真/硬件测试
1.4 EDA技术的设计方法
1.5 习题
第2章 可编程逻辑器件
2.1 简单PLD的基本结构
2.2 CPLD的基本结构
2.3 FPCA的基本结构
2.4 可编程逻辑器件产品简介
2.4.1 Ahera系列产品
2.4.2 Xilinx系列产品
2.4.3 Lattice系列产品
2.5 习题
第3章 硬件描述语言VHDL
3.1 VHDL简介
3.1.1 VHDL的发展及特点
3.1.2 传统设计与VHDL设计对照
3.2 VHDL程序的基本结构
3.2.1 VHDL程序的基本单元与构成
3.2.2 实体
3.2.3 结构体
3.2.4 程序包.库和配置
3.3 VHDL的语法要素
3.3.1 VHDL文字规则
3.3.2 VHDL数据对象
3.3.3 VHDL数据类型
3.3.4 运算操作符
3.4 VHDL结构体的描述方式
3.4.1 顺序描述语句
3.4.2 并行描述语句
3.4.3 属性描述语句
3.5 VHDL设计逻辑电路的基本思想和方法
3.5.1 逻辑函数表达式方法
3.5.2 真值表方法
3.5.3 电路连接描述方法
3.5.4 不完整条件语句方法
3.5.5 层次化设计方法
3.6 习题
第4章 用VHDL程序实现常用逻辑电路
4.1 组合逻辑电路设计
4.1.1 基本逻辑门
4.1.2 三态门
4.1.3 3-8译码器
4.1.4 优先编码器
4.1.5 7段码译码器
4.1.6 二-十进制BCD译码器
4.1.7 多位加(减)法器
4.2 时序逻辑电路设计
4.2.1 触发器
4.2.2 计数器
4.2.3 分频器
4.2.4 移位寄存器
4.3 状态机逻辑电路设计
4.3.1 一般状态机的设计
4.3.2 状态机的应用
4.4 习题
第5章 EDA开发软件及应用
5.1 QuartusII软件简介
5.2 QuartusII软件的安装
5.2.1 系统要求
5.2.2 安装操作
5.2.3 安装许可证
5.3 QuartusII设计输入
5.3.1 文本设计输入方式
5.3.2 原理图设计输入方式
5.3.3 混合输入方式
5.4 QuartusII设计编译
5.4.1 编译前的设置
5.4.2 全程编译
5.5 QuartusII设计仿真
5.5.1 创建波形文件
5.5.2 创建输入输出向量
5.5.3 设置仿真时间
5.5.4 设置输入信号
5.5.5 波形仿真
5.6 QuartusII器件编程
5.6.1 引脚设置和下载
5.6.2 器件编程下载
5.7 Max+plusII软件简介
5.7.1 设计输入
5.7.2 设计编译
5.7.3 设计校验
5.7.4 器件编程
5.8 转化Max+PlusII工程文件
5.9 习题
第6章 EDA仿真技术应用实例
6.1 带使能和片选端的16:4线优先编码器设计
6.1.1 原理分析
6.1.2 程序设计
6.1.3 编译仿真
6.2 7段显示译码器设计
6.2.1 原理分析
6.2.2 程序设计
6.2.3 编译仿真
6.3 带异步清零端的12位二进制全加器设计
6.3.1 原理分析
6.3.2 程序设计
6.3.3 编译仿真
6.4 带异步清零/置位端的Ⅸ触发器设计
6.4.1 原理分析
6.4.2 程序设计
6.4.3 编译仿真
6.5 4位锁存器设计
6.5.1 原理分析
6.5.2 程序设计
6.5.3 编译仿真
6.6 32进制多样型计数器设计
6.6.1 原理分析
6.6.2 程序设计
6.6.3 编译仿真
6.7 8位多样型移位寄存器设计
6.7.1 原理分析
6.7.2 程序设计
6.7.3 编译仿真
6.8 Moore状态机的设计
6.8.1 原理分析
6.8.2 程序设计
6.8.3 编译仿真
6.9 Mealy状态机的设计
6.9.1 原理分析
6.9.2 程序设计
6.9.3 编译仿真
6.1 0习题
第7章 QuanusII中的宏功能模块及应用
7.1 QuartusII宏功能模块概述
7.1.1 宏功能模块与LPM函数
7.1.2 知识产权IP核
7.2 宏功能模块定制管理器
7.2.1 宏功能模块定制管理器的使用
7.2.2 宏功能模块定制管理器的文件
7.3 宏功能模块的应用
7.3.1 arithmetic宏功能模块
7.3.2 gates宏功能模块
7.3.3 10组件宏功能模块的使用
7.3.4 storage宏功能模块的使用
7.4 宏功能模块的例化
7.5 习题
第8章 常见EDA设计中的工程问题
8.1 建立时间和保持时间
8.2 竞争和冒险
8.2.1 PLD内部毛刺产生的原因
8.2.2 毛刺消除
8.3 EDA设计中的同步电路
8.3.1 同步电路与异步电路
8.3.2 同步清除和置位信号
8.4 时钟问题
8.5 面积与速度之间的关系
8.5.1 串并转换
8.5.2 流水线操作
8.6 低功耗设计原则
8.7 数字系统设计中可编程器件的选择原则
8.7.1 从系统设计角度的目标器件选择原则
8.7.2 从器件资源角度的目标器件选择原则
8.7.3 从器件管脚来确定
8.8 习题
第9章 EDA技术工程应用实例
9.1 交通灯控制器的设计
9.1.1 原理分析
9.1.2 程序设计
9.1.3 编译仿真
9.2 4X5矩阵键盘设计
9.2.1 原理分析
9.2.2 程序设计
9.2.3 编译仿真
9.3 数字电子钟设计
9.3.1 原理分析
9.3.2 程序设计
9.3.3 编译仿真
9.4 6位十进制数字频率计设计
9.4.1 原理分析
9.4.2 程序设计
9.4.3 编译仿真
9.5 数字波形产生器设计
9.5.1 原理分析
9.5.2 程序设计
9.5.3 编译仿真
9.6 10层全自动电梯控制器设计
9.6.1 原理分析
9.6.2 程序设计
9.6.3 编译仿真
9.7 17阶线性相位FIR滤波器设计
9.7.1 原理分析
9.7.2 程序设计
9.7.3 编译仿真
9.8 习题
参考文献

本目录推荐