注册 | 登录读书好,好读书,读好书!
读书网-DuShu.com
当前位置: 首页出版图书教育/教材/教辅考试计算机考试半导体制造技术

半导体制造技术

半导体制造技术

定 价:¥69.00

作 者: (美)夸克(Quirk, M.)著
出版社: 电子工业出版社
丛编项: 国外电子与通信教材系列
标 签: 半导体工艺 教材 英文

ISBN: 9787121027109 出版时间: 2006-06-01 包装: 平装
开本: 16开 页数: 666 字数:  

内容简介

  在半导体领域,技术的变化遵循着摩尔定律的快速节奏,是以月而不是以年为单位计的。本书详细追述了半导体发展的历史并吸收了当今最新技术资料,学术界和工业界都称赞这是一本目前在市场上所能得到的最全面、最先进的教材。全书共分20章,章节根据应用于半导体制造的主要技术分类来安排,内容包括:与半导体制造相关的基础技术信息;总体流程图的工艺模型概况,用流程图将硅片制造的主要领域连接起来;具体讲解每一个主要工艺;集成电路装配和封装的后部工艺概况。此外,各章为读者提供了关于质量测量和故障排除的问题,这些都是在硅片制造中会遇到的实际问题。.本书适合作为高等院校微电子技术专业的教材,也可作为从事半导体制造与研究人员的参考书及公司培训员工的标准教材。本书旨在介绍半导体集成电路产业中最新的工具和技术,以便提高读者在工作过程中理解与使用相同或类似工具的能力。全书在细节上覆盖了用于亚0.25μm(0.18μm及以下)工艺的最新技术,通过描述早期的工具和工艺来阐明现代技术的发展。包括铜互连、化学机械平坦化(CMP)、低k介质工艺、浅槽隔离(STI)、深紫外化学放大光刻胶、步进与扫描系统、具有双大马士革的铜金属化等。贯穿全书,解释了产业变化漫长历史中的所有工艺和设备,以及工艺需求和设备性能的技术关系,并显示了设备潜在性能与最佳制造所需工艺参数之间的折中。..本书适合作为高等院校微电子技术专业的教材,也可作为从事半导体制造与研究人员的参考书及公司培训员工的标准教材。...

作者简介

暂缺《半导体制造技术》作者简介

图书目录

Chapter 1  Introduction to the Semiconductor Industry
Objectives
Introduction
Development of an Industry
Industry Roots
The Solid State
Circuit Integration
Integration Eras
IC Fabrication
Wafer Fab
Stages of IC Fabrication
Semiconductor Trends
Increase in Chip Performance
Increase in Chip Reliability
Reduction in Chip Price
The Electronic Era
The 1950s: Transistor Technology
The 1960s: Process Technology
The 1970s: Competition
The 1980s: Automation
The 1990s: Volume Production
Careers in Semiconductor Manufacturing
Technician
Job Descriptions
Summary
Key Terms
Review Questions
Selected Industry Web sites
REFERENCES
Chapter 2   Characteristics of Semiconductor Materials
Objectives
Introduction
Atomic Structure
Electrons
The Periodic Table
Ionic Bonds
Covalent Bonds
Classifying Materials
Conductors
Insulators
Semiconductors
Silicon
Pure Silicon
Why Silicon*
Doped Silicon
pn Junctions
Alternative Semiconductor Materials
Gallium Arsenide (GaAs)
Summary
Key Terms
Review Questions
REFERENCES
Chapter 3  Device Technologies
Objectives
Introduction
Circuit Types
Analog Circuits
Digital Circuits
Passive Component Structures
IC Resistor Structures
IC Capacitor Structures
Active Component Structures
The pn Junction Diod
The Bipolar Junction Transistor
Schottky Diode
Bipolar IC Technology
CMOS IC Technology  52
Enhancement and Depletion-Mode MOSFETs
Latchup in CMOS Devices
Integrated Circuit Products
Linear IC Product Types
Digital IC Product Types
Summary
Key Terms
Review Questions
IC Manufacturers’ web sites
REFERENCES
Chapter 4  Silicon and Wafer Preparation
Objectives
Introduction
Semiconductor-Grade Silicon
Crystal Structure
Amorphous Materials
Unit Cells
Polycrystal and Monocrystal Structures
Crystal Orientation
Monocrystal Silicon Growth
CZ Method
Float-Zone Method
Reasons for Larger Ingot Diameters
Crystal Defects in Silicon
Point Defects
Dislocations
Gross Defects
Wafer Preparation
Shaping Operations
Wafer Slicing
Wafer Lapping and Edge Contour
Etching
Polishing
Cleaning
Wafer Evaluation
Packaging
Quality Measures
Physical Dimensions
Flatness
Microroughness
Oxygen Content
Crystal Defects
Particles
Bulk Resistivity
Epitaxial Layer
Summary
Key Terms
Review Questions
Selected industry web sites
REFEREnCES
Chapter 5  Chemicals in Semiconductor Fabrication
Objectives
Introduction
States of Matter
Properties of Materials
Chemical Properties for Semiconductor Manufacturing
Process Chemicals
Liquids
Gases
Summary
Key Terms
Review Questions
Chemical Suppliers’ Web Sites
REFERENCES
Chapter 6  Contamination Control in Wafer
Fabs Objectives
Introduction
Clean Background
Types of Contamination
Particles
Metallic Impurities
Organic Contamination
Native Oxides
Electrostatic Discharge
Sources and Control of Contamination
Air
Humans
Facility
Water
Process Chemicals
Production Equipment
Workstation Design
Wafer Wet Cleaning
Wet-Cleaning Overview
Wet-Clean Equipment
Alternatives to RCA Clean
Summary
Key Terms
Review Questions
CHEMICAL AND EQUIPMENT SUPPLIERS’ WEB Sites
REFERENCES
Chapter 7  Metrology and Defect Inspection
Objectives
Introduction
IC Metrology
Measurement Equipment
Yield
Data Management
Quality Measures
Film Thickness
Film Stress
Refractive Index
Dopant Concentration
Unpatterned Surface Defects
Patterned Surface Defects
Critical Dimension (CD)
Step Coverage
Overlay Registration
Capacitance-Voltage (C-V) Test
Contact Angle
Analytical Equipment
Secondary-Ion Mass Spectrometry  (SIMS)
Atomic Force Microscope (AFM)
Auger Electron Spectroscopy (AES)
X-Ray Photoelectron Spectroscopy (XPS)
Transmission Electron Microscope (TEM)
Energy- and Wavelength-Dispersive Spectrometer (EDX and WDX)
Focused Ion Beam (FIB)
Summary
Key Terms
Review Questions
Metrology Equipment Suppliers* Web Sites
REFERENCES
Chapter 8  Gas Control in Process Chambers
Objectives
Introduction
Vacuum
Vacuum Ranges
Mean Free Path
Vacuum Pumps
Roughing Pump
High Vacuum Pump
Vacuum in Integrated Tools
Process Chamber Gas Flow
Mass Flow Controllers
Residual Gas Analyzer (RGA)
RGA Basics
RGA as Real-Time Monitor
Plasma
Glow Discharge
Process Chamber
Contamination
Summary
Key Terms
Review Questions
Vacuum Equipment Suppliers’ Web Sites
References
Chapter 9  IC Fabrication Process Overview
Objectives
Introduction
CMOS Process Flow
Overview of Areas in a Wafer Fab
CMOS Manufacturing Steps
1. Twin Well Process
2. Shallow Trench Isolation Process
3. Poly Gate Structural Process
4. Lightly Doped Drain (LDD) Implant Process
5. Sidewall Spacer Formation
6. Source/Drain (S/D) Implant Processes
7. Contact Formation
8. Local Interconnect (LI) Process
9. Via-1 and Plug-1 Formation
10. Metal-1 Interconnect Formation
11. Via-2 and Plug-1 Formation
12. Metal-2 Interconnect Formation
13. Metal-3 to Pad Etch and Alloy
14. Parametric Testing
Summary
Key Terms
Review Questions
References
Chapter 10  Oxidation
Objectives
Introduction
Oxide Film
Nature of Oxide Film
Uses of Oxide Film
Thermal Oxidation growth
Chemical Reaction for Oxidation
Oxidation Growth Model
Furnace Equipment
Horizontal Versus Vertical Furnaces
Vertical Furnace
Fast Ramp Vertical Furnace
Rapid Thermal Processor
Oxidation Process
Pre Oxidation Cleaning
Oxidation Process Recipe
Quality Measurements
Oxidation Troubleshooting
Summary
Key Terms
Review Questions
FURNACE AND RTP EQUIPMENT Suppliers’ Web Sites
References
Chapter 11 Deposition
Objectives
Introduction
Film Layering Terminology
Film Deposition
Thin-Film Characteristics
Film Growth
Film Deposition Techniques
Chemical Vapor Deposition
CVD Chemical Processes
CVD Reaction
CVD Deposition Systems
CVD Equipment Design
APCVD (Atmospheric Pressure CVD)
LPCVD (Low Pressure CVD)
Plasma-Assisted CVD
Dielectrics and Performance
Dielectric Constant
Device Isolation
Spin-On-Dielectrics
Spin-On-Glass (SOG)
Spin-On-Dielectric (SOD)
Epitaxy
Epitaxy Growth Methods
CVD Quality Measures
CVD Troubleshooting
Summary
Key Terms
Review Questions
Deposition Equipment Suppliers’ Web Sites
REFERENCES
Chapter 12  Metallization
Objectives
Introduction
Types of Metals
Aluminum
Aluminum-Copper Alloys
Copper
Barrier Metals
Silicides
Metal Plugs
Metal Deposition Systems
Evaporation
Sputtering
Metal CVD
Copper Electroplate
Metallization Schemes
Traditional Aluminum Structure
Copper Damascene Structure
Metallization Quality Measures
Metallization Troubleshooting
Summary
Key Terms
Review Questions
Metallization Equipment and Materials Suppliers’ Web Sites
REFERENCES
Chapter 13   Photolithography: Vapor Prime to Soft Bake
Objectives
Introduction
Photolithography Concepts
Photolithography Processes
Negative Lithography
Positive Lithography
Eight Basic Steps of Photolithography
Step 1: Vapor Prime
Step 2: Spin Coat
Step 3: Soft Bake
Step 4: Alignment and Exposure
Step 5: Post-Exposure Bake (PEB)
Step 6: Develop
Step 7: Hard Bake
Step 8: Develop Inspect
Vapor Prime
Wafer Cleaning
Dehydration Bake
Wafer Priming
Spin Coat
Photoresist
Photoresist Physical Properties
Conventional I-Line Photoresists
Deep UV (DUV) Photoresists
Photoresist Dispensing Methods
Soft Bake
Soft Bake Equipment
Process Characterization
Photoresist Quality Measures
Photoresist Troubleshooting
Summary
Key Terms
Review Questions
PHOTORESIST MATERIALS AND EQUIPMENT Suppliers’ Web Sites
References
Chapter 14   Photolithography: Alignment and Exposure
Objectives
Introduction
Importance of Alignment and Exposure
Optical Lithography
Light
Exposure Sources
Optics
Resolution
Photolithography Equipment
Contact Aligner
Proximity Aligner
Scanning Projection Aligner
Step-and-Repeat Aligner (Stepper)
Step-and-Scan System
Reticles
Optical Enhancement Techniques
Alignment
Environmental Conditions
Comparison of Photo Tools
Mix and Match
AlignMENT and ExpoSURE Quality Measures
AlignMENT and ExposURe Troubleshooting
Summary
Key Terms
Review Questions
PhotoRESIST materials AND Equipment Suppliers’ Web Sites
REFERENCES
Chapter 15  Photolithography: PHOTOResist Development and Advanced Lithography
Objectives
Introduction
Advanced Lithography
Post-Exposure Bake
DUV Post-Exposure Bake (PEB)
Conventional I-Line PEB
Develop
Negative Resist
Positive Resist
Development Methods
Resist Development Parameters
Hard Bake
Develop Inspect
Advanced Lithography
Next-Generation Lithography
Advanced Resist Processing
Develop Quality Measures
Develop Troubleshooting
Summary
Key Terms
Review questions
Photolithography Materials AND EQUIPMENT Suppliers’ Web Sites
REFERENCES
Chapter 16  Etch
Objectives
Introduction
Etch Processes
Etch Parameters
Etch Rate
Etch Profile
Etch Bias
Selectivity
Uniformity
Residues
Polymer Formation
Plasma-Induced Damage
Particle Contamination
Dry Etch
Etching Action
Potential Distribution
Plasma Etch Reactors
Barrel Plasma Etcher
Parallel Plate (Planar) Reactor
Downstream Etch Systems
Triode Planar Reactor
Ion Beam Milling
Reactive Ion Etch (RIE)
High-Density Plasma Etchers
Etch System Review
Endpoint Detection
Vacuum for Etch Chambers
Dry Etch Applications
Dielectric Dry Etch
Silicon Dry Etch
Metal Dry Etch
Wet Etch
Types of Wet Etch
Historical Perspective
Photoresist Removal
Plasma Ashing
ETCH Inspection
ETCH Inspection Quality Measures
Dry Etch Troubleshooting
Summary
Key Terms
Review Questions
Etch Equipment Suppliers’ Web Sites
REFERENCES
Chapter 17  Ion Implant
Objectives
Introduction
Doped Regions
Diffusion
Diffusion Principles
Diffusion Process
Ion Implantation
Overview
Ion Implant Parameters
Ion Implanters
Ion Source
Extraction and Ion Analyzer
Acceleration Column
Scanning System
Process Chamber
Annealing
Channeling
Particles
Ion Implant Trends IN PROCESS INTEGRATION
Deep Buried Layers
Retrograde Wells
Punchthrough Stoppers
Threshold Voltage Adjustment
Lightly Doped Drain
Source/Drain Implants
Polysilicon Gate
Trench Capacitor
Ultrashallow Junctions
Silicon-On-Insulator (SOI)
Ion Implant Quality Measures
Ion Implant Troubleshooting
Summary
Key Terms
Review Questions
Ion Implanter Equipment Suppliers’ Web Sites
REFERENCES
Chapter 18  Chemical Mechanical Planarization
Objectives
Introduction
Traditional Planarization
Etchback
Glass Reflow
Spin-On Films
Chemical Mechanical Planarization
CMP Planarity
Advantages of CMP
CMP Mechanisms
CMP Slurry and Pad
CMP Equipment
CMP Clean
CMP Equipment Manufacturers
CMP Applications
STI Oxide Polish
LI Oxide Polish
LI Tungsten Polish
ILD Oxide Polish
Tungsten Plug Polish
Dual-Damascene Copper Polish
CMP Quality Measures
CMP Troubleshooting
Summary
Key Terms
Review Questions
CMP Equipment Suppliers’ Web Sites
REFERENCES
Chapter 19  Wafer Test
Objectives
Introduction
IC Electrical Tests
Wafer Test
In-Line Parametric Test
Wafer Sort
Yield
Wafer Sort Yield Models
Test Quality Measures
Test Troubleshooting
Summary
Key Terms
Review questions
Test and prober equipment suppliers’ Web sites
REFERENCES
Chapter 20  Assembly and Packaging
Objectives
Introduction
Packaging Levels
Traditional Assembly
Backgrind
Die Separation
Die Attach
Wirebonding
Traditional Packaging
Plastic Packaging
Ceramic Packaging
Final Test
Advanced Assembly and Packaging
Flip Chip
Ball Grid Array (BGA)
Chip on Board (COB)
Tape Automated Bonding (TAB)
Multichip Module (MCM)
Chip Scale Packaging (CSP)
Wafer-Level Packaging
Assembly and Packaging Quality Measures
IC Packaging Troubleshooting
Summary
Key Terms
Review questions
Assembly and Packaging Suppliers’ Web Sites
References
Appendices
Appendix A    Chemicals and Safety
Appendix B    Contamination Controls in Cleanrooms
Appendix C    Units
Appendix D    Color as a Function of Oxide Thickness
Appendix E    Overview of PHOTOResist Chemistry
Appendix F    Etch Chemistry
Glossary
INDEX

本目录推荐